symbiflow-arch-defs
symbiflow-arch-defs

reg

Component Diagram

`ifndef DSP48_NREG_REG `define DSP48_NREG_REG module REG (D, Q, CLK, CE, RESET); input wire D; input wire CLK; input wire CE; input wire RESET; output reg Q; always @(posedge CLK) begin if (~RESET) Q <= 1'b0; else if (CE) Q <= D; end endmodule // REG `endif // `ifndef DSP48_NREG_REG

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/latest/xc/common/primitives/dsp48e1/nreg/reg.sim.v

Verilog File

`ifndef DSP48_NREG_REG
`define DSP48_NREG_REG

module REG (D, Q, CLK, CE, RESET);
   input wire D;
   input wire CLK;
   input wire CE;
   input wire RESET;
   output reg Q;

   always @(posedge CLK) begin
     if (~RESET)
       Q <= 1'b0;
     else if (CE)
       Q <= D;
   end

endmodule // REG

`endif //  `ifndef DSP48_NREG_REG