symbiflow-arch-defs
symbiflow-arch-defs

PFUMX

Component Diagram

`default_nettype none module PFUMX (input ALUT, BLUT, C0, output Z); assign Z = C0 ? ALUT : BLUT; endmodule

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/latest/ecp5/primitives/slice/PFUMX/PFUMX.sim.v

Verilog File

`default_nettype none
module PFUMX (input ALUT, BLUT, C0, output Z);
	assign Z = C0 ? ALUT : BLUT;
endmodule