symbiflow-arch-defs
symbiflow-arch-defs

nmux2

Component Diagram

`include "../../../../../vpr/muxes/logic/mux2/mux2.sim.v" module NMUX2 ( I0, I1, S, O ); parameter NBITS = 4; input wire [NBITS-1:0] I0; input wire [NBITS-1:0] I1; input wire S; output wire [NBITS-1:0] O; genvar ii; for(ii=0; ii<NBITS; ii++) begin: bitmux MUX2 mux (I0[ii], I1[ii], S, O[ii]); end endmodule // NMUX2

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/latest/xc/common/primitives/dsp48e1/nmux2/nmux2.sim.v

Verilog File

`include "../../../../../vpr/muxes/logic/mux2/mux2.sim.v"

module NMUX2
  (
   I0, I1,
   S,
   O
   );

   parameter NBITS = 4;

   input wire [NBITS-1:0] I0;
   input wire [NBITS-1:0] I1;

   input wire 		  S;

   output wire [NBITS-1:0] O;

   genvar 		 ii;

   for(ii=0; ii<NBITS; ii++) begin: bitmux
      MUX2 mux (I0[ii], I1[ii], S, O[ii]);
   end

endmodule // NMUX2