symbiflow-arch-defs
symbiflow-arch-defs

OBZ

Component Diagram

`default_nettype none module OBZ(input I, T, output O); assign O = T ? 1'bz : I; endmodule

Verilog File

`default_nettype none
module OBZ(input I, T, output O);
assign O = T ? 1'bz : I;
endmodule

Table Of Contents