symbiflow-arch-defs
symbiflow-arch-defs

ps7

Physical Block XML

<pb_type name="PS7" blif_model=".subckt PS7_VPR" num_pb="1">
  <input  name="DDRARB" num_pins="4"/>
  <input  name="DMA0ACLK" num_pins="1"/>
  <input  name="DMA0DAREADY" num_pins="1"/>
  <output name="DMA0DATYPE" num_pins="2"/>
  <output name="DMA0DAVALID" num_pins="1"/>
  <input  name="DMA0DRLAST" num_pins="1"/>
  <output name="DMA0DRREADY" num_pins="1"/>
  <input  name="DMA0DRTYPE" num_pins="2"/>
  <input  name="DMA0DRVALID" num_pins="1"/>
  <output name="DMA0RSTN" num_pins="1"/>
  <input  name="DMA1ACLK" num_pins="1"/>
  <input  name="DMA1DAREADY" num_pins="1"/>
  <output name="DMA1DATYPE" num_pins="2"/>
  <output name="DMA1DAVALID" num_pins="1"/>
  <input  name="DMA1DRLAST" num_pins="1"/>
  <output name="DMA1DRREADY" num_pins="1"/>
  <input  name="DMA1DRTYPE" num_pins="2"/>
  <input  name="DMA1DRVALID" num_pins="1"/>
  <output name="DMA1RSTN" num_pins="1"/>
  <input  name="DMA2ACLK" num_pins="1"/>
  <input  name="DMA2DAREADY" num_pins="1"/>
  <output name="DMA2DATYPE" num_pins="2"/>
  <output name="DMA2DAVALID" num_pins="1"/>
  <input  name="DMA2DRLAST" num_pins="1"/>
  <output name="DMA2DRREADY" num_pins="1"/>
  <input  name="DMA2DRTYPE" num_pins="2"/>
  <input  name="DMA2DRVALID" num_pins="1"/>
  <output name="DMA2RSTN" num_pins="1"/>
  <input  name="DMA3ACLK" num_pins="1"/>
  <input  name="DMA3DAREADY" num_pins="1"/>
  <output name="DMA3DATYPE" num_pins="2"/>
  <output name="DMA3DAVALID" num_pins="1"/>
  <input  name="DMA3DRLAST" num_pins="1"/>
  <output name="DMA3DRREADY" num_pins="1"/>
  <input  name="DMA3DRTYPE" num_pins="2"/>
  <input  name="DMA3DRVALID" num_pins="1"/>
  <output name="DMA3RSTN" num_pins="1"/>
  <input  name="EMIOCAN0PHYRX" num_pins="1"/>
  <output name="EMIOCAN0PHYTX" num_pins="1"/>
  <input  name="EMIOCAN1PHYRX" num_pins="1"/>
  <output name="EMIOCAN1PHYTX" num_pins="1"/>
  <input  name="EMIOENET0EXTINTIN" num_pins="1"/>
  <input  name="EMIOENET0GMIICOL" num_pins="1"/>
  <input  name="EMIOENET0GMIICRS" num_pins="1"/>
  <input  name="EMIOENET0GMIIRXCLK" num_pins="1"/>
  <input  name="EMIOENET0GMIIRXD" num_pins="8"/>
  <input  name="EMIOENET0GMIIRXDV" num_pins="1"/>
  <input  name="EMIOENET0GMIIRXER" num_pins="1"/>
  <input  name="EMIOENET0GMIITXCLK" num_pins="1"/>
  <output name="EMIOENET0GMIITXD" num_pins="8"/>
  <output name="EMIOENET0GMIITXEN" num_pins="1"/>
  <output name="EMIOENET0GMIITXER" num_pins="1"/>
  <input  name="EMIOENET0MDIOI" num_pins="1"/>
  <output name="EMIOENET0MDIOMDC" num_pins="1"/>
  <output name="EMIOENET0MDIOO" num_pins="1"/>
  <output name="EMIOENET0MDIOTN" num_pins="1"/>
  <output name="EMIOENET0PTPDELAYREQRX" num_pins="1"/>
  <output name="EMIOENET0PTPDELAYREQTX" num_pins="1"/>
  <output name="EMIOENET0PTPPDELAYREQRX" num_pins="1"/>
  <output name="EMIOENET0PTPPDELAYREQTX" num_pins="1"/>
  <output name="EMIOENET0PTPPDELAYRESPRX" num_pins="1"/>
  <output name="EMIOENET0PTPPDELAYRESPTX" num_pins="1"/>
  <output name="EMIOENET0PTPSYNCFRAMERX" num_pins="1"/>
  <output name="EMIOENET0PTPSYNCFRAMETX" num_pins="1"/>
  <output name="EMIOENET0SOFRX" num_pins="1"/>
  <output name="EMIOENET0SOFTX" num_pins="1"/>
  <input  name="EMIOENET1EXTINTIN" num_pins="1"/>
  <input  name="EMIOENET1GMIICOL" num_pins="1"/>
  <input  name="EMIOENET1GMIICRS" num_pins="1"/>
  <input  name="EMIOENET1GMIIRXCLK" num_pins="1"/>
  <input  name="EMIOENET1GMIIRXD" num_pins="8"/>
  <input  name="EMIOENET1GMIIRXDV" num_pins="1"/>
  <input  name="EMIOENET1GMIIRXER" num_pins="1"/>
  <input  name="EMIOENET1GMIITXCLK" num_pins="1"/>
  <output name="EMIOENET1GMIITXD" num_pins="8"/>
  <output name="EMIOENET1GMIITXEN" num_pins="1"/>
  <output name="EMIOENET1GMIITXER" num_pins="1"/>
  <input  name="EMIOENET1MDIOI" num_pins="1"/>
  <output name="EMIOENET1MDIOMDC" num_pins="1"/>
  <output name="EMIOENET1MDIOO" num_pins="1"/>
  <output name="EMIOENET1MDIOTN" num_pins="1"/>
  <output name="EMIOENET1PTPDELAYREQRX" num_pins="1"/>
  <output name="EMIOENET1PTPDELAYREQTX" num_pins="1"/>
  <output name="EMIOENET1PTPPDELAYREQRX" num_pins="1"/>
  <output name="EMIOENET1PTPPDELAYREQTX" num_pins="1"/>
  <output name="EMIOENET1PTPPDELAYRESPRX" num_pins="1"/>
  <output name="EMIOENET1PTPPDELAYRESPTX" num_pins="1"/>
  <output name="EMIOENET1PTPSYNCFRAMERX" num_pins="1"/>
  <output name="EMIOENET1PTPSYNCFRAMETX" num_pins="1"/>
  <output name="EMIOENET1SOFRX" num_pins="1"/>
  <output name="EMIOENET1SOFTX" num_pins="1"/>
  <input  name="EMIOGPIOI" num_pins="64"/>
  <output name="EMIOGPIOO" num_pins="64"/>
  <output name="EMIOGPIOTN" num_pins="64"/>
  <input  name="EMIOI2C0SCLI" num_pins="1"/>
  <output name="EMIOI2C0SCLO" num_pins="1"/>
  <output name="EMIOI2C0SCLTN" num_pins="1"/>
  <input  name="EMIOI2C0SDAI" num_pins="1"/>
  <output name="EMIOI2C0SDAO" num_pins="1"/>
  <output name="EMIOI2C0SDATN" num_pins="1"/>
  <input  name="EMIOI2C1SCLI" num_pins="1"/>
  <output name="EMIOI2C1SCLO" num_pins="1"/>
  <output name="EMIOI2C1SCLTN" num_pins="1"/>
  <input  name="EMIOI2C1SDAI" num_pins="1"/>
  <output name="EMIOI2C1SDAO" num_pins="1"/>
  <output name="EMIOI2C1SDATN" num_pins="1"/>
  <input  name="EMIOPJTAGTCK" num_pins="1"/>
  <input  name="EMIOPJTAGTDI" num_pins="1"/>
  <output name="EMIOPJTAGTDO" num_pins="1"/>
  <output name="EMIOPJTAGTDTN" num_pins="1"/>
  <input  name="EMIOPJTAGTMS" num_pins="1"/>
  <output name="EMIOSDIO0BUSPOW" num_pins="1"/>
  <output name="EMIOSDIO0BUSVOLT" num_pins="3"/>
  <input  name="EMIOSDIO0CDN" num_pins="1"/>
  <output name="EMIOSDIO0CLK" num_pins="1"/>
  <input  name="EMIOSDIO0CLKFB" num_pins="1"/>
  <input  name="EMIOSDIO0CMDI" num_pins="1"/>
  <output name="EMIOSDIO0CMDO" num_pins="1"/>
  <output name="EMIOSDIO0CMDTN" num_pins="1"/>
  <input  name="EMIOSDIO0DATAI" num_pins="4"/>
  <output name="EMIOSDIO0DATAO" num_pins="4"/>
  <output name="EMIOSDIO0DATATN" num_pins="4"/>
  <output name="EMIOSDIO0LED" num_pins="1"/>
  <input  name="EMIOSDIO0WP" num_pins="1"/>
  <output name="EMIOSDIO1BUSPOW" num_pins="1"/>
  <output name="EMIOSDIO1BUSVOLT" num_pins="3"/>
  <input  name="EMIOSDIO1CDN" num_pins="1"/>
  <output name="EMIOSDIO1CLK" num_pins="1"/>
  <input  name="EMIOSDIO1CLKFB" num_pins="1"/>
  <input  name="EMIOSDIO1CMDI" num_pins="1"/>
  <output name="EMIOSDIO1CMDO" num_pins="1"/>
  <output name="EMIOSDIO1CMDTN" num_pins="1"/>
  <input  name="EMIOSDIO1DATAI" num_pins="4"/>
  <output name="EMIOSDIO1DATAO" num_pins="4"/>
  <output name="EMIOSDIO1DATATN" num_pins="4"/>
  <output name="EMIOSDIO1LED" num_pins="1"/>
  <input  name="EMIOSDIO1WP" num_pins="1"/>
  <input  name="EMIOSPI0MI" num_pins="1"/>
  <output name="EMIOSPI0MO" num_pins="1"/>
  <output name="EMIOSPI0MOTN" num_pins="1"/>
  <input  name="EMIOSPI0SCLKI" num_pins="1"/>
  <output name="EMIOSPI0SCLKO" num_pins="1"/>
  <output name="EMIOSPI0SCLKTN" num_pins="1"/>
  <input  name="EMIOSPI0SI" num_pins="1"/>
  <output name="EMIOSPI0SO" num_pins="1"/>
  <input  name="EMIOSPI0SSIN" num_pins="1"/>
  <output name="EMIOSPI0SSNTN" num_pins="1"/>
  <output name="EMIOSPI0SSON" num_pins="3"/>
  <output name="EMIOSPI0STN" num_pins="1"/>
  <input  name="EMIOSPI1MI" num_pins="1"/>
  <output name="EMIOSPI1MO" num_pins="1"/>
  <output name="EMIOSPI1MOTN" num_pins="1"/>
  <input  name="EMIOSPI1SCLKI" num_pins="1"/>
  <output name="EMIOSPI1SCLKO" num_pins="1"/>
  <output name="EMIOSPI1SCLKTN" num_pins="1"/>
  <input  name="EMIOSPI1SI" num_pins="1"/>
  <output name="EMIOSPI1SO" num_pins="1"/>
  <input  name="EMIOSPI1SSIN" num_pins="1"/>
  <output name="EMIOSPI1SSNTN" num_pins="1"/>
  <output name="EMIOSPI1SSON" num_pins="3"/>
  <output name="EMIOSPI1STN" num_pins="1"/>
  <input  name="EMIOSRAMINTIN" num_pins="1"/>
  <input  name="EMIOTRACECLK" num_pins="1"/>
  <output name="EMIOTRACECTL" num_pins="1"/>
  <output name="EMIOTRACEDATA" num_pins="32"/>
  <input  name="EMIOTTC0CLKI" num_pins="3"/>
  <output name="EMIOTTC0WAVEO" num_pins="3"/>
  <input  name="EMIOTTC1CLKI" num_pins="3"/>
  <output name="EMIOTTC1WAVEO" num_pins="3"/>
  <input  name="EMIOUART0CTSN" num_pins="1"/>
  <input  name="EMIOUART0DCDN" num_pins="1"/>
  <input  name="EMIOUART0DSRN" num_pins="1"/>
  <output name="EMIOUART0DTRN" num_pins="1"/>
  <input  name="EMIOUART0RIN" num_pins="1"/>
  <output name="EMIOUART0RTSN" num_pins="1"/>
  <input  name="EMIOUART0RX" num_pins="1"/>
  <output name="EMIOUART0TX" num_pins="1"/>
  <input  name="EMIOUART1CTSN" num_pins="1"/>
  <input  name="EMIOUART1DCDN" num_pins="1"/>
  <input  name="EMIOUART1DSRN" num_pins="1"/>
  <output name="EMIOUART1DTRN" num_pins="1"/>
  <input  name="EMIOUART1RIN" num_pins="1"/>
  <output name="EMIOUART1RTSN" num_pins="1"/>
  <input  name="EMIOUART1RX" num_pins="1"/>
  <output name="EMIOUART1TX" num_pins="1"/>
  <output name="EMIOUSB0PORTINDCTL" num_pins="2"/>
  <input  name="EMIOUSB0VBUSPWRFAULT" num_pins="1"/>
  <output name="EMIOUSB0VBUSPWRSELECT" num_pins="1"/>
  <output name="EMIOUSB1PORTINDCTL" num_pins="2"/>
  <input  name="EMIOUSB1VBUSPWRFAULT" num_pins="1"/>
  <output name="EMIOUSB1VBUSPWRSELECT" num_pins="1"/>
  <input  name="EMIOWDTCLKI" num_pins="1"/>
  <output name="EMIOWDTRSTO" num_pins="1"/>
  <input  name="EVENTEVENTI" num_pins="1"/>
  <output name="EVENTEVENTO" num_pins="1"/>
  <output name="EVENTSTANDBYWFE" num_pins="2"/>
  <output name="EVENTSTANDBYWFI" num_pins="2"/>
  <output name="FCLKCLK" num_pins="4"/>
  <input  name="FCLKCLKTRIGN" num_pins="4"/>
  <output name="FCLKRESETN" num_pins="4"/>
  <input  name="FPGAIDLEN" num_pins="1"/>
  <input  name="FTMDTRACEINATID" num_pins="4"/>
  <input  name="FTMDTRACEINCLOCK" num_pins="1"/>
  <input  name="FTMDTRACEINDATA" num_pins="32"/>
  <input  name="FTMDTRACEINVALID" num_pins="1"/>
  <input  name="FTMTF2PDEBUG" num_pins="32"/>
  <input  name="FTMTF2PTRIG" num_pins="4"/>
  <output name="FTMTF2PTRIGACK" num_pins="4"/>
  <output name="FTMTP2FDEBUG" num_pins="32"/>
  <output name="FTMTP2FTRIG" num_pins="4"/>
  <input  name="FTMTP2FTRIGACK" num_pins="4"/>
  <input  name="IRQF2P" num_pins="20"/>
  <output name="IRQP2F" num_pins="29"/>
  <input  name="MAXIGP0ACLK" num_pins="1"/>
  <output name="MAXIGP0ARADDR" num_pins="32"/>
  <output name="MAXIGP0ARBURST" num_pins="2"/>
  <output name="MAXIGP0ARCACHE" num_pins="4"/>
  <output name="MAXIGP0ARESETN" num_pins="1"/>
  <output name="MAXIGP0ARID" num_pins="12"/>
  <output name="MAXIGP0ARLEN" num_pins="4"/>
  <output name="MAXIGP0ARLOCK" num_pins="2"/>
  <output name="MAXIGP0ARPROT" num_pins="3"/>
  <output name="MAXIGP0ARQOS" num_pins="4"/>
  <input  name="MAXIGP0ARREADY" num_pins="1"/>
  <output name="MAXIGP0ARSIZE" num_pins="2"/>
  <output name="MAXIGP0ARVALID" num_pins="1"/>
  <output name="MAXIGP0AWADDR" num_pins="32"/>
  <output name="MAXIGP0AWBURST" num_pins="2"/>
  <output name="MAXIGP0AWCACHE" num_pins="4"/>
  <output name="MAXIGP0AWID" num_pins="12"/>
  <output name="MAXIGP0AWLEN" num_pins="4"/>
  <output name="MAXIGP0AWLOCK" num_pins="2"/>
  <output name="MAXIGP0AWPROT" num_pins="3"/>
  <output name="MAXIGP0AWQOS" num_pins="4"/>
  <input  name="MAXIGP0AWREADY" num_pins="1"/>
  <output name="MAXIGP0AWSIZE" num_pins="2"/>
  <output name="MAXIGP0AWVALID" num_pins="1"/>
  <input  name="MAXIGP0BID" num_pins="12"/>
  <output name="MAXIGP0BREADY" num_pins="1"/>
  <input  name="MAXIGP0BRESP" num_pins="2"/>
  <input  name="MAXIGP0BVALID" num_pins="1"/>
  <input  name="MAXIGP0RDATA" num_pins="32"/>
  <input  name="MAXIGP0RID" num_pins="12"/>
  <input  name="MAXIGP0RLAST" num_pins="1"/>
  <output name="MAXIGP0RREADY" num_pins="1"/>
  <input  name="MAXIGP0RRESP" num_pins="2"/>
  <input  name="MAXIGP0RVALID" num_pins="1"/>
  <output name="MAXIGP0WDATA" num_pins="32"/>
  <output name="MAXIGP0WID" num_pins="12"/>
  <output name="MAXIGP0WLAST" num_pins="1"/>
  <input  name="MAXIGP0WREADY" num_pins="1"/>
  <output name="MAXIGP0WSTRB" num_pins="4"/>
  <output name="MAXIGP0WVALID" num_pins="1"/>
  <input  name="MAXIGP1ACLK" num_pins="1"/>
  <output name="MAXIGP1ARADDR" num_pins="32"/>
  <output name="MAXIGP1ARBURST" num_pins="2"/>
  <output name="MAXIGP1ARCACHE" num_pins="4"/>
  <output name="MAXIGP1ARESETN" num_pins="1"/>
  <output name="MAXIGP1ARID" num_pins="12"/>
  <output name="MAXIGP1ARLEN" num_pins="4"/>
  <output name="MAXIGP1ARLOCK" num_pins="2"/>
  <output name="MAXIGP1ARPROT" num_pins="3"/>
  <output name="MAXIGP1ARQOS" num_pins="4"/>
  <input  name="MAXIGP1ARREADY" num_pins="1"/>
  <output name="MAXIGP1ARSIZE" num_pins="2"/>
  <output name="MAXIGP1ARVALID" num_pins="1"/>
  <output name="MAXIGP1AWADDR" num_pins="32"/>
  <output name="MAXIGP1AWBURST" num_pins="2"/>
  <output name="MAXIGP1AWCACHE" num_pins="4"/>
  <output name="MAXIGP1AWID" num_pins="12"/>
  <output name="MAXIGP1AWLEN" num_pins="4"/>
  <output name="MAXIGP1AWLOCK" num_pins="2"/>
  <output name="MAXIGP1AWPROT" num_pins="3"/>
  <output name="MAXIGP1AWQOS" num_pins="4"/>
  <input  name="MAXIGP1AWREADY" num_pins="1"/>
  <output name="MAXIGP1AWSIZE" num_pins="2"/>
  <output name="MAXIGP1AWVALID" num_pins="1"/>
  <input  name="MAXIGP1BID" num_pins="12"/>
  <output name="MAXIGP1BREADY" num_pins="1"/>
  <input  name="MAXIGP1BRESP" num_pins="2"/>
  <input  name="MAXIGP1BVALID" num_pins="1"/>
  <input  name="MAXIGP1RDATA" num_pins="32"/>
  <input  name="MAXIGP1RID" num_pins="12"/>
  <input  name="MAXIGP1RLAST" num_pins="1"/>
  <output name="MAXIGP1RREADY" num_pins="1"/>
  <input  name="MAXIGP1RRESP" num_pins="2"/>
  <input  name="MAXIGP1RVALID" num_pins="1"/>
  <output name="MAXIGP1WDATA" num_pins="32"/>
  <output name="MAXIGP1WID" num_pins="12"/>
  <output name="MAXIGP1WLAST" num_pins="1"/>
  <input  name="MAXIGP1WREADY" num_pins="1"/>
  <output name="MAXIGP1WSTRB" num_pins="4"/>
  <output name="MAXIGP1WVALID" num_pins="1"/>
  <input  name="SAXIACPACLK" num_pins="1"/>
  <input  name="SAXIACPARADDR" num_pins="32"/>
  <input  name="SAXIACPARBURST" num_pins="2"/>
  <input  name="SAXIACPARCACHE" num_pins="4"/>
  <output name="SAXIACPARESETN" num_pins="1"/>
  <input  name="SAXIACPARID" num_pins="3"/>
  <input  name="SAXIACPARLEN" num_pins="4"/>
  <input  name="SAXIACPARLOCK" num_pins="2"/>
  <input  name="SAXIACPARPROT" num_pins="3"/>
  <input  name="SAXIACPARQOS" num_pins="4"/>
  <output name="SAXIACPARREADY" num_pins="1"/>
  <input  name="SAXIACPARSIZE" num_pins="2"/>
  <input  name="SAXIACPARUSER" num_pins="5"/>
  <input  name="SAXIACPARVALID" num_pins="1"/>
  <input  name="SAXIACPAWADDR" num_pins="32"/>
  <input  name="SAXIACPAWBURST" num_pins="2"/>
  <input  name="SAXIACPAWCACHE" num_pins="4"/>
  <input  name="SAXIACPAWID" num_pins="3"/>
  <input  name="SAXIACPAWLEN" num_pins="4"/>
  <input  name="SAXIACPAWLOCK" num_pins="2"/>
  <input  name="SAXIACPAWPROT" num_pins="3"/>
  <input  name="SAXIACPAWQOS" num_pins="4"/>
  <output name="SAXIACPAWREADY" num_pins="1"/>
  <input  name="SAXIACPAWSIZE" num_pins="2"/>
  <input  name="SAXIACPAWUSER" num_pins="5"/>
  <input  name="SAXIACPAWVALID" num_pins="1"/>
  <output name="SAXIACPBID" num_pins="3"/>
  <input  name="SAXIACPBREADY" num_pins="1"/>
  <output name="SAXIACPBRESP" num_pins="2"/>
  <output name="SAXIACPBVALID" num_pins="1"/>
  <output name="SAXIACPRDATA" num_pins="64"/>
  <output name="SAXIACPRID" num_pins="3"/>
  <output name="SAXIACPRLAST" num_pins="1"/>
  <input  name="SAXIACPRREADY" num_pins="1"/>
  <output name="SAXIACPRRESP" num_pins="2"/>
  <output name="SAXIACPRVALID" num_pins="1"/>
  <input  name="SAXIACPWDATA" num_pins="64"/>
  <input  name="SAXIACPWID" num_pins="3"/>
  <input  name="SAXIACPWLAST" num_pins="1"/>
  <output name="SAXIACPWREADY" num_pins="1"/>
  <input  name="SAXIACPWSTRB" num_pins="8"/>
  <input  name="SAXIACPWVALID" num_pins="1"/>
  <input  name="SAXIGP0ACLK" num_pins="1"/>
  <input  name="SAXIGP0ARADDR" num_pins="32"/>
  <input  name="SAXIGP0ARBURST" num_pins="2"/>
  <input  name="SAXIGP0ARCACHE" num_pins="4"/>
  <output name="SAXIGP0ARESETN" num_pins="1"/>
  <input  name="SAXIGP0ARID" num_pins="6"/>
  <input  name="SAXIGP0ARLEN" num_pins="4"/>
  <input  name="SAXIGP0ARLOCK" num_pins="2"/>
  <input  name="SAXIGP0ARPROT" num_pins="3"/>
  <input  name="SAXIGP0ARQOS" num_pins="4"/>
  <output name="SAXIGP0ARREADY" num_pins="1"/>
  <input  name="SAXIGP0ARSIZE" num_pins="2"/>
  <input  name="SAXIGP0ARVALID" num_pins="1"/>
  <input  name="SAXIGP0AWADDR" num_pins="32"/>
  <input  name="SAXIGP0AWBURST" num_pins="2"/>
  <input  name="SAXIGP0AWCACHE" num_pins="4"/>
  <input  name="SAXIGP0AWID" num_pins="6"/>
  <input  name="SAXIGP0AWLEN" num_pins="4"/>
  <input  name="SAXIGP0AWLOCK" num_pins="2"/>
  <input  name="SAXIGP0AWPROT" num_pins="3"/>
  <input  name="SAXIGP0AWQOS" num_pins="4"/>
  <output name="SAXIGP0AWREADY" num_pins="1"/>
  <input  name="SAXIGP0AWSIZE" num_pins="2"/>
  <input  name="SAXIGP0AWVALID" num_pins="1"/>
  <output name="SAXIGP0BID" num_pins="6"/>
  <input  name="SAXIGP0BREADY" num_pins="1"/>
  <output name="SAXIGP0BRESP" num_pins="2"/>
  <output name="SAXIGP0BVALID" num_pins="1"/>
  <output name="SAXIGP0RDATA" num_pins="32"/>
  <output name="SAXIGP0RID" num_pins="6"/>
  <output name="SAXIGP0RLAST" num_pins="1"/>
  <input  name="SAXIGP0RREADY" num_pins="1"/>
  <output name="SAXIGP0RRESP" num_pins="2"/>
  <output name="SAXIGP0RVALID" num_pins="1"/>
  <input  name="SAXIGP0WDATA" num_pins="32"/>
  <input  name="SAXIGP0WID" num_pins="6"/>
  <input  name="SAXIGP0WLAST" num_pins="1"/>
  <output name="SAXIGP0WREADY" num_pins="1"/>
  <input  name="SAXIGP0WSTRB" num_pins="4"/>
  <input  name="SAXIGP0WVALID" num_pins="1"/>
  <input  name="SAXIGP1ACLK" num_pins="1"/>
  <input  name="SAXIGP1ARADDR" num_pins="32"/>
  <input  name="SAXIGP1ARBURST" num_pins="2"/>
  <input  name="SAXIGP1ARCACHE" num_pins="4"/>
  <output name="SAXIGP1ARESETN" num_pins="1"/>
  <input  name="SAXIGP1ARID" num_pins="6"/>
  <input  name="SAXIGP1ARLEN" num_pins="4"/>
  <input  name="SAXIGP1ARLOCK" num_pins="2"/>
  <input  name="SAXIGP1ARPROT" num_pins="3"/>
  <input  name="SAXIGP1ARQOS" num_pins="4"/>
  <output name="SAXIGP1ARREADY" num_pins="1"/>
  <input  name="SAXIGP1ARSIZE" num_pins="2"/>
  <input  name="SAXIGP1ARVALID" num_pins="1"/>
  <input  name="SAXIGP1AWADDR" num_pins="32"/>
  <input  name="SAXIGP1AWBURST" num_pins="2"/>
  <input  name="SAXIGP1AWCACHE" num_pins="4"/>
  <input  name="SAXIGP1AWID" num_pins="6"/>
  <input  name="SAXIGP1AWLEN" num_pins="4"/>
  <input  name="SAXIGP1AWLOCK" num_pins="2"/>
  <input  name="SAXIGP1AWPROT" num_pins="3"/>
  <input  name="SAXIGP1AWQOS" num_pins="4"/>
  <output name="SAXIGP1AWREADY" num_pins="1"/>
  <input  name="SAXIGP1AWSIZE" num_pins="2"/>
  <input  name="SAXIGP1AWVALID" num_pins="1"/>
  <output name="SAXIGP1BID" num_pins="6"/>
  <input  name="SAXIGP1BREADY" num_pins="1"/>
  <output name="SAXIGP1BRESP" num_pins="2"/>
  <output name="SAXIGP1BVALID" num_pins="1"/>
  <output name="SAXIGP1RDATA" num_pins="32"/>
  <output name="SAXIGP1RID" num_pins="6"/>
  <output name="SAXIGP1RLAST" num_pins="1"/>
  <input  name="SAXIGP1RREADY" num_pins="1"/>
  <output name="SAXIGP1RRESP" num_pins="2"/>
  <output name="SAXIGP1RVALID" num_pins="1"/>
  <input  name="SAXIGP1WDATA" num_pins="32"/>
  <input  name="SAXIGP1WID" num_pins="6"/>
  <input  name="SAXIGP1WLAST" num_pins="1"/>
  <output name="SAXIGP1WREADY" num_pins="1"/>
  <input  name="SAXIGP1WSTRB" num_pins="4"/>
  <input  name="SAXIGP1WVALID" num_pins="1"/>
  <input  name="SAXIHP0ACLK" num_pins="1"/>
  <input  name="SAXIHP0ARADDR" num_pins="32"/>
  <input  name="SAXIHP0ARBURST" num_pins="2"/>
  <input  name="SAXIHP0ARCACHE" num_pins="4"/>
  <output name="SAXIHP0ARESETN" num_pins="1"/>
  <input  name="SAXIHP0ARID" num_pins="6"/>
  <input  name="SAXIHP0ARLEN" num_pins="4"/>
  <input  name="SAXIHP0ARLOCK" num_pins="2"/>
  <input  name="SAXIHP0ARPROT" num_pins="3"/>
  <input  name="SAXIHP0ARQOS" num_pins="4"/>
  <output name="SAXIHP0ARREADY" num_pins="1"/>
  <input  name="SAXIHP0ARSIZE" num_pins="2"/>
  <input  name="SAXIHP0ARVALID" num_pins="1"/>
  <input  name="SAXIHP0AWADDR" num_pins="32"/>
  <input  name="SAXIHP0AWBURST" num_pins="2"/>
  <input  name="SAXIHP0AWCACHE" num_pins="4"/>
  <input  name="SAXIHP0AWID" num_pins="6"/>
  <input  name="SAXIHP0AWLEN" num_pins="4"/>
  <input  name="SAXIHP0AWLOCK" num_pins="2"/>
  <input  name="SAXIHP0AWPROT" num_pins="3"/>
  <input  name="SAXIHP0AWQOS" num_pins="4"/>
  <output name="SAXIHP0AWREADY" num_pins="1"/>
  <input  name="SAXIHP0AWSIZE" num_pins="2"/>
  <input  name="SAXIHP0AWVALID" num_pins="1"/>
  <output name="SAXIHP0BID" num_pins="6"/>
  <input  name="SAXIHP0BREADY" num_pins="1"/>
  <output name="SAXIHP0BRESP" num_pins="2"/>
  <output name="SAXIHP0BVALID" num_pins="1"/>
  <output name="SAXIHP0RACOUNT" num_pins="3"/>
  <output name="SAXIHP0RCOUNT" num_pins="8"/>
  <output name="SAXIHP0RDATA" num_pins="64"/>
  <input  name="SAXIHP0RDISSUECAP1EN" num_pins="1"/>
  <output name="SAXIHP0RID" num_pins="6"/>
  <output name="SAXIHP0RLAST" num_pins="1"/>
  <input  name="SAXIHP0RREADY" num_pins="1"/>
  <output name="SAXIHP0RRESP" num_pins="2"/>
  <output name="SAXIHP0RVALID" num_pins="1"/>
  <output name="SAXIHP0WACOUNT" num_pins="6"/>
  <output name="SAXIHP0WCOUNT" num_pins="8"/>
  <input  name="SAXIHP0WDATA" num_pins="64"/>
  <input  name="SAXIHP0WID" num_pins="6"/>
  <input  name="SAXIHP0WLAST" num_pins="1"/>
  <output name="SAXIHP0WREADY" num_pins="1"/>
  <input  name="SAXIHP0WRISSUECAP1EN" num_pins="1"/>
  <input  name="SAXIHP0WSTRB" num_pins="8"/>
  <input  name="SAXIHP0WVALID" num_pins="1"/>
  <input  name="SAXIHP1ACLK" num_pins="1"/>
  <input  name="SAXIHP1ARADDR" num_pins="32"/>
  <input  name="SAXIHP1ARBURST" num_pins="2"/>
  <input  name="SAXIHP1ARCACHE" num_pins="4"/>
  <output name="SAXIHP1ARESETN" num_pins="1"/>
  <input  name="SAXIHP1ARID" num_pins="6"/>
  <input  name="SAXIHP1ARLEN" num_pins="4"/>
  <input  name="SAXIHP1ARLOCK" num_pins="2"/>
  <input  name="SAXIHP1ARPROT" num_pins="3"/>
  <input  name="SAXIHP1ARQOS" num_pins="4"/>
  <output name="SAXIHP1ARREADY" num_pins="1"/>
  <input  name="SAXIHP1ARSIZE" num_pins="2"/>
  <input  name="SAXIHP1ARVALID" num_pins="1"/>
  <input  name="SAXIHP1AWADDR" num_pins="32"/>
  <input  name="SAXIHP1AWBURST" num_pins="2"/>
  <input  name="SAXIHP1AWCACHE" num_pins="4"/>
  <input  name="SAXIHP1AWID" num_pins="6"/>
  <input  name="SAXIHP1AWLEN" num_pins="4"/>
  <input  name="SAXIHP1AWLOCK" num_pins="2"/>
  <input  name="SAXIHP1AWPROT" num_pins="3"/>
  <input  name="SAXIHP1AWQOS" num_pins="4"/>
  <output name="SAXIHP1AWREADY" num_pins="1"/>
  <input  name="SAXIHP1AWSIZE" num_pins="2"/>
  <input  name="SAXIHP1AWVALID" num_pins="1"/>
  <output name="SAXIHP1BID" num_pins="6"/>
  <input  name="SAXIHP1BREADY" num_pins="1"/>
  <output name="SAXIHP1BRESP" num_pins="2"/>
  <output name="SAXIHP1BVALID" num_pins="1"/>
  <output name="SAXIHP1RACOUNT" num_pins="3"/>
  <output name="SAXIHP1RCOUNT" num_pins="8"/>
  <output name="SAXIHP1RDATA" num_pins="64"/>
  <input  name="SAXIHP1RDISSUECAP1EN" num_pins="1"/>
  <output name="SAXIHP1RID" num_pins="6"/>
  <output name="SAXIHP1RLAST" num_pins="1"/>
  <input  name="SAXIHP1RREADY" num_pins="1"/>
  <output name="SAXIHP1RRESP" num_pins="2"/>
  <output name="SAXIHP1RVALID" num_pins="1"/>
  <output name="SAXIHP1WACOUNT" num_pins="6"/>
  <output name="SAXIHP1WCOUNT" num_pins="8"/>
  <input  name="SAXIHP1WDATA" num_pins="64"/>
  <input  name="SAXIHP1WID" num_pins="6"/>
  <input  name="SAXIHP1WLAST" num_pins="1"/>
  <output name="SAXIHP1WREADY" num_pins="1"/>
  <input  name="SAXIHP1WRISSUECAP1EN" num_pins="1"/>
  <input  name="SAXIHP1WSTRB" num_pins="8"/>
  <input  name="SAXIHP1WVALID" num_pins="1"/>
  <input  name="SAXIHP2ACLK" num_pins="1"/>
  <input  name="SAXIHP2ARADDR" num_pins="32"/>
  <input  name="SAXIHP2ARBURST" num_pins="2"/>
  <input  name="SAXIHP2ARCACHE" num_pins="4"/>
  <output name="SAXIHP2ARESETN" num_pins="1"/>
  <input  name="SAXIHP2ARID" num_pins="6"/>
  <input  name="SAXIHP2ARLEN" num_pins="4"/>
  <input  name="SAXIHP2ARLOCK" num_pins="2"/>
  <input  name="SAXIHP2ARPROT" num_pins="3"/>
  <input  name="SAXIHP2ARQOS" num_pins="4"/>
  <output name="SAXIHP2ARREADY" num_pins="1"/>
  <input  name="SAXIHP2ARSIZE" num_pins="2"/>
  <input  name="SAXIHP2ARVALID" num_pins="1"/>
  <input  name="SAXIHP2AWADDR" num_pins="32"/>
  <input  name="SAXIHP2AWBURST" num_pins="2"/>
  <input  name="SAXIHP2AWCACHE" num_pins="4"/>
  <input  name="SAXIHP2AWID" num_pins="6"/>
  <input  name="SAXIHP2AWLEN" num_pins="4"/>
  <input  name="SAXIHP2AWLOCK" num_pins="2"/>
  <input  name="SAXIHP2AWPROT" num_pins="3"/>
  <input  name="SAXIHP2AWQOS" num_pins="4"/>
  <output name="SAXIHP2AWREADY" num_pins="1"/>
  <input  name="SAXIHP2AWSIZE" num_pins="2"/>
  <input  name="SAXIHP2AWVALID" num_pins="1"/>
  <output name="SAXIHP2BID" num_pins="6"/>
  <input  name="SAXIHP2BREADY" num_pins="1"/>
  <output name="SAXIHP2BRESP" num_pins="2"/>
  <output name="SAXIHP2BVALID" num_pins="1"/>
  <output name="SAXIHP2RACOUNT" num_pins="3"/>
  <output name="SAXIHP2RCOUNT" num_pins="8"/>
  <output name="SAXIHP2RDATA" num_pins="64"/>
  <input  name="SAXIHP2RDISSUECAP1EN" num_pins="1"/>
  <output name="SAXIHP2RID" num_pins="6"/>
  <output name="SAXIHP2RLAST" num_pins="1"/>
  <input  name="SAXIHP2RREADY" num_pins="1"/>
  <output name="SAXIHP2RRESP" num_pins="2"/>
  <output name="SAXIHP2RVALID" num_pins="1"/>
  <output name="SAXIHP2WACOUNT" num_pins="6"/>
  <output name="SAXIHP2WCOUNT" num_pins="8"/>
  <input  name="SAXIHP2WDATA" num_pins="64"/>
  <input  name="SAXIHP2WID" num_pins="6"/>
  <input  name="SAXIHP2WLAST" num_pins="1"/>
  <output name="SAXIHP2WREADY" num_pins="1"/>
  <input  name="SAXIHP2WRISSUECAP1EN" num_pins="1"/>
  <input  name="SAXIHP2WSTRB" num_pins="8"/>
  <input  name="SAXIHP2WVALID" num_pins="1"/>
  <input  name="SAXIHP3ACLK" num_pins="1"/>
  <input  name="SAXIHP3ARADDR" num_pins="32"/>
  <input  name="SAXIHP3ARBURST" num_pins="2"/>
  <input  name="SAXIHP3ARCACHE" num_pins="4"/>
  <output name="SAXIHP3ARESETN" num_pins="1"/>
  <input  name="SAXIHP3ARID" num_pins="6"/>
  <input  name="SAXIHP3ARLEN" num_pins="4"/>
  <input  name="SAXIHP3ARLOCK" num_pins="2"/>
  <input  name="SAXIHP3ARPROT" num_pins="3"/>
  <input  name="SAXIHP3ARQOS" num_pins="4"/>
  <output name="SAXIHP3ARREADY" num_pins="1"/>
  <input  name="SAXIHP3ARSIZE" num_pins="2"/>
  <input  name="SAXIHP3ARVALID" num_pins="1"/>
  <input  name="SAXIHP3AWADDR" num_pins="32"/>
  <input  name="SAXIHP3AWBURST" num_pins="2"/>
  <input  name="SAXIHP3AWCACHE" num_pins="4"/>
  <input  name="SAXIHP3AWID" num_pins="6"/>
  <input  name="SAXIHP3AWLEN" num_pins="4"/>
  <input  name="SAXIHP3AWLOCK" num_pins="2"/>
  <input  name="SAXIHP3AWPROT" num_pins="3"/>
  <input  name="SAXIHP3AWQOS" num_pins="4"/>
  <output name="SAXIHP3AWREADY" num_pins="1"/>
  <input  name="SAXIHP3AWSIZE" num_pins="2"/>
  <input  name="SAXIHP3AWVALID" num_pins="1"/>
  <output name="SAXIHP3BID" num_pins="6"/>
  <input  name="SAXIHP3BREADY" num_pins="1"/>
  <output name="SAXIHP3BRESP" num_pins="2"/>
  <output name="SAXIHP3BVALID" num_pins="1"/>
  <output name="SAXIHP3RACOUNT" num_pins="3"/>
  <output name="SAXIHP3RCOUNT" num_pins="8"/>
  <output name="SAXIHP3RDATA" num_pins="64"/>
  <input  name="SAXIHP3RDISSUECAP1EN" num_pins="1"/>
  <output name="SAXIHP3RID" num_pins="6"/>
  <output name="SAXIHP3RLAST" num_pins="1"/>
  <input  name="SAXIHP3RREADY" num_pins="1"/>
  <output name="SAXIHP3RRESP" num_pins="2"/>
  <output name="SAXIHP3RVALID" num_pins="1"/>
  <output name="SAXIHP3WACOUNT" num_pins="6"/>
  <output name="SAXIHP3WCOUNT" num_pins="8"/>
  <input  name="SAXIHP3WDATA" num_pins="64"/>
  <input  name="SAXIHP3WID" num_pins="6"/>
  <input  name="SAXIHP3WLAST" num_pins="1"/>
  <output name="SAXIHP3WREADY" num_pins="1"/>
  <input  name="SAXIHP3WRISSUECAP1EN" num_pins="1"/>
  <input  name="SAXIHP3WSTRB" num_pins="8"/>
  <input  name="SAXIHP3WVALID" num_pins="1"/>
</pb_type>

Model XML

<models>
  <model name="PS7_VPR">
    <input_ports>
      <port name="DDRARB"/>
      <port name="DMA0ACLK"/>
      <port name="DMA0DAREADY"/>
      <port name="DMA0DRLAST"/>
      <port name="DMA0DRTYPE"/>
      <port name="DMA0DRVALID"/>
      <port name="DMA1ACLK"/>
      <port name="DMA1DAREADY"/>
      <port name="DMA1DRLAST"/>
      <port name="DMA1DRTYPE"/>
      <port name="DMA1DRVALID"/>
      <port name="DMA2ACLK"/>
      <port name="DMA2DAREADY"/>
      <port name="DMA2DRLAST"/>
      <port name="DMA2DRTYPE"/>
      <port name="DMA2DRVALID"/>
      <port name="DMA3ACLK"/>
      <port name="DMA3DAREADY"/>
      <port name="DMA3DRLAST"/>
      <port name="DMA3DRTYPE"/>
      <port name="DMA3DRVALID"/>
      <port name="EMIOCAN0PHYRX"/>
      <port name="EMIOCAN1PHYRX"/>
      <port name="EMIOENET0EXTINTIN"/>
      <port name="EMIOENET0GMIICOL"/>
      <port name="EMIOENET0GMIICRS"/>
      <port name="EMIOENET0GMIIRXCLK"/>
      <port name="EMIOENET0GMIIRXD"/>
      <port name="EMIOENET0GMIIRXDV"/>
      <port name="EMIOENET0GMIIRXER"/>
      <port name="EMIOENET0GMIITXCLK"/>
      <port name="EMIOENET0MDIOI"/>
      <port name="EMIOENET1EXTINTIN"/>
      <port name="EMIOENET1GMIICOL"/>
      <port name="EMIOENET1GMIICRS"/>
      <port name="EMIOENET1GMIIRXCLK"/>
      <port name="EMIOENET1GMIIRXD"/>
      <port name="EMIOENET1GMIIRXDV"/>
      <port name="EMIOENET1GMIIRXER"/>
      <port name="EMIOENET1GMIITXCLK"/>
      <port name="EMIOENET1MDIOI"/>
      <port name="EMIOGPIOI"/>
      <port name="EMIOI2C0SCLI"/>
      <port name="EMIOI2C0SDAI"/>
      <port name="EMIOI2C1SCLI"/>
      <port name="EMIOI2C1SDAI"/>
      <port name="EMIOPJTAGTCK"/>
      <port name="EMIOPJTAGTDI"/>
      <port name="EMIOPJTAGTMS"/>
      <port name="EMIOSDIO0CDN"/>
      <port name="EMIOSDIO0CLKFB"/>
      <port name="EMIOSDIO0CMDI"/>
      <port name="EMIOSDIO0DATAI"/>
      <port name="EMIOSDIO0WP"/>
      <port name="EMIOSDIO1CDN"/>
      <port name="EMIOSDIO1CLKFB"/>
      <port name="EMIOSDIO1CMDI"/>
      <port name="EMIOSDIO1DATAI"/>
      <port name="EMIOSDIO1WP"/>
      <port name="EMIOSPI0MI"/>
      <port name="EMIOSPI0SCLKI"/>
      <port name="EMIOSPI0SI"/>
      <port name="EMIOSPI0SSIN"/>
      <port name="EMIOSPI1MI"/>
      <port name="EMIOSPI1SCLKI"/>
      <port name="EMIOSPI1SI"/>
      <port name="EMIOSPI1SSIN"/>
      <port name="EMIOSRAMINTIN"/>
      <port name="EMIOTRACECLK"/>
      <port name="EMIOTTC0CLKI"/>
      <port name="EMIOTTC1CLKI"/>
      <port name="EMIOUART0CTSN"/>
      <port name="EMIOUART0DCDN"/>
      <port name="EMIOUART0DSRN"/>
      <port name="EMIOUART0RIN"/>
      <port name="EMIOUART0RX"/>
      <port name="EMIOUART1CTSN"/>
      <port name="EMIOUART1DCDN"/>
      <port name="EMIOUART1DSRN"/>
      <port name="EMIOUART1RIN"/>
      <port name="EMIOUART1RX"/>
      <port name="EMIOUSB0VBUSPWRFAULT"/>
      <port name="EMIOUSB1VBUSPWRFAULT"/>
      <port name="EMIOWDTCLKI"/>
      <port name="EVENTEVENTI"/>
      <port name="FCLKCLKTRIGN"/>
      <port name="FPGAIDLEN"/>
      <port name="FTMDTRACEINATID"/>
      <port name="FTMDTRACEINCLOCK"/>
      <port name="FTMDTRACEINDATA"/>
      <port name="FTMDTRACEINVALID"/>
      <port name="FTMTF2PDEBUG"/>
      <port name="FTMTF2PTRIG"/>
      <port name="FTMTP2FTRIGACK"/>
      <port name="IRQF2P"/>
      <port name="MAXIGP0ACLK"/>
      <port name="MAXIGP0ARREADY"/>
      <port name="MAXIGP0AWREADY"/>
      <port name="MAXIGP0BID"/>
      <port name="MAXIGP0BRESP"/>
      <port name="MAXIGP0BVALID"/>
      <port name="MAXIGP0RDATA"/>
      <port name="MAXIGP0RID"/>
      <port name="MAXIGP0RLAST"/>
      <port name="MAXIGP0RRESP"/>
      <port name="MAXIGP0RVALID"/>
      <port name="MAXIGP0WREADY"/>
      <port name="MAXIGP1ACLK"/>
      <port name="MAXIGP1ARREADY"/>
      <port name="MAXIGP1AWREADY"/>
      <port name="MAXIGP1BID"/>
      <port name="MAXIGP1BRESP"/>
      <port name="MAXIGP1BVALID"/>
      <port name="MAXIGP1RDATA"/>
      <port name="MAXIGP1RID"/>
      <port name="MAXIGP1RLAST"/>
      <port name="MAXIGP1RRESP"/>
      <port name="MAXIGP1RVALID"/>
      <port name="MAXIGP1WREADY"/>
      <port name="SAXIACPACLK"/>
      <port name="SAXIACPARADDR"/>
      <port name="SAXIACPARBURST"/>
      <port name="SAXIACPARCACHE"/>
      <port name="SAXIACPARID"/>
      <port name="SAXIACPARLEN"/>
      <port name="SAXIACPARLOCK"/>
      <port name="SAXIACPARPROT"/>
      <port name="SAXIACPARQOS"/>
      <port name="SAXIACPARSIZE"/>
      <port name="SAXIACPARUSER"/>
      <port name="SAXIACPARVALID"/>
      <port name="SAXIACPAWADDR"/>
      <port name="SAXIACPAWBURST"/>
      <port name="SAXIACPAWCACHE"/>
      <port name="SAXIACPAWID"/>
      <port name="SAXIACPAWLEN"/>
      <port name="SAXIACPAWLOCK"/>
      <port name="SAXIACPAWPROT"/>
      <port name="SAXIACPAWQOS"/>
      <port name="SAXIACPAWSIZE"/>
      <port name="SAXIACPAWUSER"/>
      <port name="SAXIACPAWVALID"/>
      <port name="SAXIACPBREADY"/>
      <port name="SAXIACPRREADY"/>
      <port name="SAXIACPWDATA"/>
      <port name="SAXIACPWID"/>
      <port name="SAXIACPWLAST"/>
      <port name="SAXIACPWSTRB"/>
      <port name="SAXIACPWVALID"/>
      <port name="SAXIGP0ACLK"/>
      <port name="SAXIGP0ARADDR"/>
      <port name="SAXIGP0ARBURST"/>
      <port name="SAXIGP0ARCACHE"/>
      <port name="SAXIGP0ARID"/>
      <port name="SAXIGP0ARLEN"/>
      <port name="SAXIGP0ARLOCK"/>
      <port name="SAXIGP0ARPROT"/>
      <port name="SAXIGP0ARQOS"/>
      <port name="SAXIGP0ARSIZE"/>
      <port name="SAXIGP0ARVALID"/>
      <port name="SAXIGP0AWADDR"/>
      <port name="SAXIGP0AWBURST"/>
      <port name="SAXIGP0AWCACHE"/>
      <port name="SAXIGP0AWID"/>
      <port name="SAXIGP0AWLEN"/>
      <port name="SAXIGP0AWLOCK"/>
      <port name="SAXIGP0AWPROT"/>
      <port name="SAXIGP0AWQOS"/>
      <port name="SAXIGP0AWSIZE"/>
      <port name="SAXIGP0AWVALID"/>
      <port name="SAXIGP0BREADY"/>
      <port name="SAXIGP0RREADY"/>
      <port name="SAXIGP0WDATA"/>
      <port name="SAXIGP0WID"/>
      <port name="SAXIGP0WLAST"/>
      <port name="SAXIGP0WSTRB"/>
      <port name="SAXIGP0WVALID"/>
      <port name="SAXIGP1ACLK"/>
      <port name="SAXIGP1ARADDR"/>
      <port name="SAXIGP1ARBURST"/>
      <port name="SAXIGP1ARCACHE"/>
      <port name="SAXIGP1ARID"/>
      <port name="SAXIGP1ARLEN"/>
      <port name="SAXIGP1ARLOCK"/>
      <port name="SAXIGP1ARPROT"/>
      <port name="SAXIGP1ARQOS"/>
      <port name="SAXIGP1ARSIZE"/>
      <port name="SAXIGP1ARVALID"/>
      <port name="SAXIGP1AWADDR"/>
      <port name="SAXIGP1AWBURST"/>
      <port name="SAXIGP1AWCACHE"/>
      <port name="SAXIGP1AWID"/>
      <port name="SAXIGP1AWLEN"/>
      <port name="SAXIGP1AWLOCK"/>
      <port name="SAXIGP1AWPROT"/>
      <port name="SAXIGP1AWQOS"/>
      <port name="SAXIGP1AWSIZE"/>
      <port name="SAXIGP1AWVALID"/>
      <port name="SAXIGP1BREADY"/>
      <port name="SAXIGP1RREADY"/>
      <port name="SAXIGP1WDATA"/>
      <port name="SAXIGP1WID"/>
      <port name="SAXIGP1WLAST"/>
      <port name="SAXIGP1WSTRB"/>
      <port name="SAXIGP1WVALID"/>
      <port name="SAXIHP0ACLK"/>
      <port name="SAXIHP0ARADDR"/>
      <port name="SAXIHP0ARBURST"/>
      <port name="SAXIHP0ARCACHE"/>
      <port name="SAXIHP0ARID"/>
      <port name="SAXIHP0ARLEN"/>
      <port name="SAXIHP0ARLOCK"/>
      <port name="SAXIHP0ARPROT"/>
      <port name="SAXIHP0ARQOS"/>
      <port name="SAXIHP0ARSIZE"/>
      <port name="SAXIHP0ARVALID"/>
      <port name="SAXIHP0AWADDR"/>
      <port name="SAXIHP0AWBURST"/>
      <port name="SAXIHP0AWCACHE"/>
      <port name="SAXIHP0AWID"/>
      <port name="SAXIHP0AWLEN"/>
      <port name="SAXIHP0AWLOCK"/>
      <port name="SAXIHP0AWPROT"/>
      <port name="SAXIHP0AWQOS"/>
      <port name="SAXIHP0AWSIZE"/>
      <port name="SAXIHP0AWVALID"/>
      <port name="SAXIHP0BREADY"/>
      <port name="SAXIHP0RDISSUECAP1EN"/>
      <port name="SAXIHP0RREADY"/>
      <port name="SAXIHP0WDATA"/>
      <port name="SAXIHP0WID"/>
      <port name="SAXIHP0WLAST"/>
      <port name="SAXIHP0WRISSUECAP1EN"/>
      <port name="SAXIHP0WSTRB"/>
      <port name="SAXIHP0WVALID"/>
      <port name="SAXIHP1ACLK"/>
      <port name="SAXIHP1ARADDR"/>
      <port name="SAXIHP1ARBURST"/>
      <port name="SAXIHP1ARCACHE"/>
      <port name="SAXIHP1ARID"/>
      <port name="SAXIHP1ARLEN"/>
      <port name="SAXIHP1ARLOCK"/>
      <port name="SAXIHP1ARPROT"/>
      <port name="SAXIHP1ARQOS"/>
      <port name="SAXIHP1ARSIZE"/>
      <port name="SAXIHP1ARVALID"/>
      <port name="SAXIHP1AWADDR"/>
      <port name="SAXIHP1AWBURST"/>
      <port name="SAXIHP1AWCACHE"/>
      <port name="SAXIHP1AWID"/>
      <port name="SAXIHP1AWLEN"/>
      <port name="SAXIHP1AWLOCK"/>
      <port name="SAXIHP1AWPROT"/>
      <port name="SAXIHP1AWQOS"/>
      <port name="SAXIHP1AWSIZE"/>
      <port name="SAXIHP1AWVALID"/>
      <port name="SAXIHP1BREADY"/>
      <port name="SAXIHP1RDISSUECAP1EN"/>
      <port name="SAXIHP1RREADY"/>
      <port name="SAXIHP1WDATA"/>
      <port name="SAXIHP1WID"/>
      <port name="SAXIHP1WLAST"/>
      <port name="SAXIHP1WRISSUECAP1EN"/>
      <port name="SAXIHP1WSTRB"/>
      <port name="SAXIHP1WVALID"/>
      <port name="SAXIHP2ACLK"/>
      <port name="SAXIHP2ARADDR"/>
      <port name="SAXIHP2ARBURST"/>
      <port name="SAXIHP2ARCACHE"/>
      <port name="SAXIHP2ARID"/>
      <port name="SAXIHP2ARLEN"/>
      <port name="SAXIHP2ARLOCK"/>
      <port name="SAXIHP2ARPROT"/>
      <port name="SAXIHP2ARQOS"/>
      <port name="SAXIHP2ARSIZE"/>
      <port name="SAXIHP2ARVALID"/>
      <port name="SAXIHP2AWADDR"/>
      <port name="SAXIHP2AWBURST"/>
      <port name="SAXIHP2AWCACHE"/>
      <port name="SAXIHP2AWID"/>
      <port name="SAXIHP2AWLEN"/>
      <port name="SAXIHP2AWLOCK"/>
      <port name="SAXIHP2AWPROT"/>
      <port name="SAXIHP2AWQOS"/>
      <port name="SAXIHP2AWSIZE"/>
      <port name="SAXIHP2AWVALID"/>
      <port name="SAXIHP2BREADY"/>
      <port name="SAXIHP2RDISSUECAP1EN"/>
      <port name="SAXIHP2RREADY"/>
      <port name="SAXIHP2WDATA"/>
      <port name="SAXIHP2WID"/>
      <port name="SAXIHP2WLAST"/>
      <port name="SAXIHP2WRISSUECAP1EN"/>
      <port name="SAXIHP2WSTRB"/>
      <port name="SAXIHP2WVALID"/>
      <port name="SAXIHP3ACLK"/>
      <port name="SAXIHP3ARADDR"/>
      <port name="SAXIHP3ARBURST"/>
      <port name="SAXIHP3ARCACHE"/>
      <port name="SAXIHP3ARID"/>
      <port name="SAXIHP3ARLEN"/>
      <port name="SAXIHP3ARLOCK"/>
      <port name="SAXIHP3ARPROT"/>
      <port name="SAXIHP3ARQOS"/>
      <port name="SAXIHP3ARSIZE"/>
      <port name="SAXIHP3ARVALID"/>
      <port name="SAXIHP3AWADDR"/>
      <port name="SAXIHP3AWBURST"/>
      <port name="SAXIHP3AWCACHE"/>
      <port name="SAXIHP3AWID"/>
      <port name="SAXIHP3AWLEN"/>
      <port name="SAXIHP3AWLOCK"/>
      <port name="SAXIHP3AWPROT"/>
      <port name="SAXIHP3AWQOS"/>
      <port name="SAXIHP3AWSIZE"/>
      <port name="SAXIHP3AWVALID"/>
      <port name="SAXIHP3BREADY"/>
      <port name="SAXIHP3RDISSUECAP1EN"/>
      <port name="SAXIHP3RREADY"/>
      <port name="SAXIHP3WDATA"/>
      <port name="SAXIHP3WID"/>
      <port name="SAXIHP3WLAST"/>
      <port name="SAXIHP3WRISSUECAP1EN"/>
      <port name="SAXIHP3WSTRB"/>
      <port name="SAXIHP3WVALID"/>
    </input_ports>
    <output_ports>
      <port name="DMA0DATYPE"/>
      <port name="DMA0DAVALID"/>
      <port name="DMA0DRREADY"/>
      <port name="DMA0RSTN"/>
      <port name="DMA1DATYPE"/>
      <port name="DMA1DAVALID"/>
      <port name="DMA1DRREADY"/>
      <port name="DMA1RSTN"/>
      <port name="DMA2DATYPE"/>
      <port name="DMA2DAVALID"/>
      <port name="DMA2DRREADY"/>
      <port name="DMA2RSTN"/>
      <port name="DMA3DATYPE"/>
      <port name="DMA3DAVALID"/>
      <port name="DMA3DRREADY"/>
      <port name="DMA3RSTN"/>
      <port name="EMIOCAN0PHYTX"/>
      <port name="EMIOCAN1PHYTX"/>
      <port name="EMIOENET0GMIITXD"/>
      <port name="EMIOENET0GMIITXEN"/>
      <port name="EMIOENET0GMIITXER"/>
      <port name="EMIOENET0MDIOMDC"/>
      <port name="EMIOENET0MDIOO"/>
      <port name="EMIOENET0MDIOTN"/>
      <port name="EMIOENET0PTPDELAYREQRX"/>
      <port name="EMIOENET0PTPDELAYREQTX"/>
      <port name="EMIOENET0PTPPDELAYREQRX"/>
      <port name="EMIOENET0PTPPDELAYREQTX"/>
      <port name="EMIOENET0PTPPDELAYRESPRX"/>
      <port name="EMIOENET0PTPPDELAYRESPTX"/>
      <port name="EMIOENET0PTPSYNCFRAMERX"/>
      <port name="EMIOENET0PTPSYNCFRAMETX"/>
      <port name="EMIOENET0SOFRX"/>
      <port name="EMIOENET0SOFTX"/>
      <port name="EMIOENET1GMIITXD"/>
      <port name="EMIOENET1GMIITXEN"/>
      <port name="EMIOENET1GMIITXER"/>
      <port name="EMIOENET1MDIOMDC"/>
      <port name="EMIOENET1MDIOO"/>
      <port name="EMIOENET1MDIOTN"/>
      <port name="EMIOENET1PTPDELAYREQRX"/>
      <port name="EMIOENET1PTPDELAYREQTX"/>
      <port name="EMIOENET1PTPPDELAYREQRX"/>
      <port name="EMIOENET1PTPPDELAYREQTX"/>
      <port name="EMIOENET1PTPPDELAYRESPRX"/>
      <port name="EMIOENET1PTPPDELAYRESPTX"/>
      <port name="EMIOENET1PTPSYNCFRAMERX"/>
      <port name="EMIOENET1PTPSYNCFRAMETX"/>
      <port name="EMIOENET1SOFRX"/>
      <port name="EMIOENET1SOFTX"/>
      <port name="EMIOGPIOO"/>
      <port name="EMIOGPIOTN"/>
      <port name="EMIOI2C0SCLO"/>
      <port name="EMIOI2C0SCLTN"/>
      <port name="EMIOI2C0SDAO"/>
      <port name="EMIOI2C0SDATN"/>
      <port name="EMIOI2C1SCLO"/>
      <port name="EMIOI2C1SCLTN"/>
      <port name="EMIOI2C1SDAO"/>
      <port name="EMIOI2C1SDATN"/>
      <port name="EMIOPJTAGTDO"/>
      <port name="EMIOPJTAGTDTN"/>
      <port name="EMIOSDIO0BUSPOW"/>
      <port name="EMIOSDIO0BUSVOLT"/>
      <port name="EMIOSDIO0CLK"/>
      <port name="EMIOSDIO0CMDO"/>
      <port name="EMIOSDIO0CMDTN"/>
      <port name="EMIOSDIO0DATAO"/>
      <port name="EMIOSDIO0DATATN"/>
      <port name="EMIOSDIO0LED"/>
      <port name="EMIOSDIO1BUSPOW"/>
      <port name="EMIOSDIO1BUSVOLT"/>
      <port name="EMIOSDIO1CLK"/>
      <port name="EMIOSDIO1CMDO"/>
      <port name="EMIOSDIO1CMDTN"/>
      <port name="EMIOSDIO1DATAO"/>
      <port name="EMIOSDIO1DATATN"/>
      <port name="EMIOSDIO1LED"/>
      <port name="EMIOSPI0MO"/>
      <port name="EMIOSPI0MOTN"/>
      <port name="EMIOSPI0SCLKO"/>
      <port name="EMIOSPI0SCLKTN"/>
      <port name="EMIOSPI0SO"/>
      <port name="EMIOSPI0SSNTN"/>
      <port name="EMIOSPI0SSON"/>
      <port name="EMIOSPI0STN"/>
      <port name="EMIOSPI1MO"/>
      <port name="EMIOSPI1MOTN"/>
      <port name="EMIOSPI1SCLKO"/>
      <port name="EMIOSPI1SCLKTN"/>
      <port name="EMIOSPI1SO"/>
      <port name="EMIOSPI1SSNTN"/>
      <port name="EMIOSPI1SSON"/>
      <port name="EMIOSPI1STN"/>
      <port name="EMIOTRACECTL"/>
      <port name="EMIOTRACEDATA"/>
      <port name="EMIOTTC0WAVEO"/>
      <port name="EMIOTTC1WAVEO"/>
      <port name="EMIOUART0DTRN"/>
      <port name="EMIOUART0RTSN"/>
      <port name="EMIOUART0TX"/>
      <port name="EMIOUART1DTRN"/>
      <port name="EMIOUART1RTSN"/>
      <port name="EMIOUART1TX"/>
      <port name="EMIOUSB0PORTINDCTL"/>
      <port name="EMIOUSB0VBUSPWRSELECT"/>
      <port name="EMIOUSB1PORTINDCTL"/>
      <port name="EMIOUSB1VBUSPWRSELECT"/>
      <port name="EMIOWDTRSTO"/>
      <port name="EVENTEVENTO"/>
      <port name="EVENTSTANDBYWFE"/>
      <port name="EVENTSTANDBYWFI"/>
      <port name="FCLKCLK"/>
      <port name="FCLKRESETN"/>
      <port name="FTMTF2PTRIGACK"/>
      <port name="FTMTP2FDEBUG"/>
      <port name="FTMTP2FTRIG"/>
      <port name="IRQP2F"/>
      <port name="MAXIGP0ARADDR"/>
      <port name="MAXIGP0ARBURST"/>
      <port name="MAXIGP0ARCACHE"/>
      <port name="MAXIGP0ARESETN"/>
      <port name="MAXIGP0ARID"/>
      <port name="MAXIGP0ARLEN"/>
      <port name="MAXIGP0ARLOCK"/>
      <port name="MAXIGP0ARPROT"/>
      <port name="MAXIGP0ARQOS"/>
      <port name="MAXIGP0ARSIZE"/>
      <port name="MAXIGP0ARVALID"/>
      <port name="MAXIGP0AWADDR"/>
      <port name="MAXIGP0AWBURST"/>
      <port name="MAXIGP0AWCACHE"/>
      <port name="MAXIGP0AWID"/>
      <port name="MAXIGP0AWLEN"/>
      <port name="MAXIGP0AWLOCK"/>
      <port name="MAXIGP0AWPROT"/>
      <port name="MAXIGP0AWQOS"/>
      <port name="MAXIGP0AWSIZE"/>
      <port name="MAXIGP0AWVALID"/>
      <port name="MAXIGP0BREADY"/>
      <port name="MAXIGP0RREADY"/>
      <port name="MAXIGP0WDATA"/>
      <port name="MAXIGP0WID"/>
      <port name="MAXIGP0WLAST"/>
      <port name="MAXIGP0WSTRB"/>
      <port name="MAXIGP0WVALID"/>
      <port name="MAXIGP1ARADDR"/>
      <port name="MAXIGP1ARBURST"/>
      <port name="MAXIGP1ARCACHE"/>
      <port name="MAXIGP1ARESETN"/>
      <port name="MAXIGP1ARID"/>
      <port name="MAXIGP1ARLEN"/>
      <port name="MAXIGP1ARLOCK"/>
      <port name="MAXIGP1ARPROT"/>
      <port name="MAXIGP1ARQOS"/>
      <port name="MAXIGP1ARSIZE"/>
      <port name="MAXIGP1ARVALID"/>
      <port name="MAXIGP1AWADDR"/>
      <port name="MAXIGP1AWBURST"/>
      <port name="MAXIGP1AWCACHE"/>
      <port name="MAXIGP1AWID"/>
      <port name="MAXIGP1AWLEN"/>
      <port name="MAXIGP1AWLOCK"/>
      <port name="MAXIGP1AWPROT"/>
      <port name="MAXIGP1AWQOS"/>
      <port name="MAXIGP1AWSIZE"/>
      <port name="MAXIGP1AWVALID"/>
      <port name="MAXIGP1BREADY"/>
      <port name="MAXIGP1RREADY"/>
      <port name="MAXIGP1WDATA"/>
      <port name="MAXIGP1WID"/>
      <port name="MAXIGP1WLAST"/>
      <port name="MAXIGP1WSTRB"/>
      <port name="MAXIGP1WVALID"/>
      <port name="SAXIACPARESETN"/>
      <port name="SAXIACPARREADY"/>
      <port name="SAXIACPAWREADY"/>
      <port name="SAXIACPBID"/>
      <port name="SAXIACPBRESP"/>
      <port name="SAXIACPBVALID"/>
      <port name="SAXIACPRDATA"/>
      <port name="SAXIACPRID"/>
      <port name="SAXIACPRLAST"/>
      <port name="SAXIACPRRESP"/>
      <port name="SAXIACPRVALID"/>
      <port name="SAXIACPWREADY"/>
      <port name="SAXIGP0ARESETN"/>
      <port name="SAXIGP0ARREADY"/>
      <port name="SAXIGP0AWREADY"/>
      <port name="SAXIGP0BID"/>
      <port name="SAXIGP0BRESP"/>
      <port name="SAXIGP0BVALID"/>
      <port name="SAXIGP0RDATA"/>
      <port name="SAXIGP0RID"/>
      <port name="SAXIGP0RLAST"/>
      <port name="SAXIGP0RRESP"/>
      <port name="SAXIGP0RVALID"/>
      <port name="SAXIGP0WREADY"/>
      <port name="SAXIGP1ARESETN"/>
      <port name="SAXIGP1ARREADY"/>
      <port name="SAXIGP1AWREADY"/>
      <port name="SAXIGP1BID"/>
      <port name="SAXIGP1BRESP"/>
      <port name="SAXIGP1BVALID"/>
      <port name="SAXIGP1RDATA"/>
      <port name="SAXIGP1RID"/>
      <port name="SAXIGP1RLAST"/>
      <port name="SAXIGP1RRESP"/>
      <port name="SAXIGP1RVALID"/>
      <port name="SAXIGP1WREADY"/>
      <port name="SAXIHP0ARESETN"/>
      <port name="SAXIHP0ARREADY"/>
      <port name="SAXIHP0AWREADY"/>
      <port name="SAXIHP0BID"/>
      <port name="SAXIHP0BRESP"/>
      <port name="SAXIHP0BVALID"/>
      <port name="SAXIHP0RACOUNT"/>
      <port name="SAXIHP0RCOUNT"/>
      <port name="SAXIHP0RDATA"/>
      <port name="SAXIHP0RID"/>
      <port name="SAXIHP0RLAST"/>
      <port name="SAXIHP0RRESP"/>
      <port name="SAXIHP0RVALID"/>
      <port name="SAXIHP0WACOUNT"/>
      <port name="SAXIHP0WCOUNT"/>
      <port name="SAXIHP0WREADY"/>
      <port name="SAXIHP1ARESETN"/>
      <port name="SAXIHP1ARREADY"/>
      <port name="SAXIHP1AWREADY"/>
      <port name="SAXIHP1BID"/>
      <port name="SAXIHP1BRESP"/>
      <port name="SAXIHP1BVALID"/>
      <port name="SAXIHP1RACOUNT"/>
      <port name="SAXIHP1RCOUNT"/>
      <port name="SAXIHP1RDATA"/>
      <port name="SAXIHP1RID"/>
      <port name="SAXIHP1RLAST"/>
      <port name="SAXIHP1RRESP"/>
      <port name="SAXIHP1RVALID"/>
      <port name="SAXIHP1WACOUNT"/>
      <port name="SAXIHP1WCOUNT"/>
      <port name="SAXIHP1WREADY"/>
      <port name="SAXIHP2ARESETN"/>
      <port name="SAXIHP2ARREADY"/>
      <port name="SAXIHP2AWREADY"/>
      <port name="SAXIHP2BID"/>
      <port name="SAXIHP2BRESP"/>
      <port name="SAXIHP2BVALID"/>
      <port name="SAXIHP2RACOUNT"/>
      <port name="SAXIHP2RCOUNT"/>
      <port name="SAXIHP2RDATA"/>
      <port name="SAXIHP2RID"/>
      <port name="SAXIHP2RLAST"/>
      <port name="SAXIHP2RRESP"/>
      <port name="SAXIHP2RVALID"/>
      <port name="SAXIHP2WACOUNT"/>
      <port name="SAXIHP2WCOUNT"/>
      <port name="SAXIHP2WREADY"/>
      <port name="SAXIHP3ARESETN"/>
      <port name="SAXIHP3ARREADY"/>
      <port name="SAXIHP3AWREADY"/>
      <port name="SAXIHP3BID"/>
      <port name="SAXIHP3BRESP"/>
      <port name="SAXIHP3BVALID"/>
      <port name="SAXIHP3RACOUNT"/>
      <port name="SAXIHP3RCOUNT"/>
      <port name="SAXIHP3RDATA"/>
      <port name="SAXIHP3RID"/>
      <port name="SAXIHP3RLAST"/>
      <port name="SAXIHP3RRESP"/>
      <port name="SAXIHP3RVALID"/>
      <port name="SAXIHP3WACOUNT"/>
      <port name="SAXIHP3WCOUNT"/>
      <port name="SAXIHP3WREADY"/>
    </output_ports>
  </model>
</models>

Table Of Contents