symbiflow-arch-defs
symbiflow-arch-defs

IB

Component Diagram

`default_nettype none module IB(input I, output O); assign O = I; endmodule

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/latest/ecp5/primitives/io/IB/IB.sim.v

Verilog File

`default_nettype none
module IB(input I, output O);
assign O = I;
endmodule