symbiflow-arch-defs
symbiflow-arch-defs

INV

Component Diagram

`default_nettype none module INV(input A, output Z); assign Z = !A; endmodule

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/latest/ecp5/primitives/misc/INV/INV.sim.v

Verilog File

`default_nettype none
module INV(input A, output Z);
	assign Z = !A;
endmodule