symbiflow-arch-defs
symbiflow-arch-defs

di64_stub

Component Diagram

// Simple passthrough box to for DI mux selection on dual port DRAMs. (* lib_whitebox *) module DI64_STUB( input DI, output DO ); (* DELAY_CONST_DI="0" *) wire DO; assign DO = DI; endmodule

Internal Diagram

/home/docs/checkouts/readthedocs.org/user_builds/rw1nkler-symbiflow-arch-defs/checkouts/add_sim_models/xc/common/primitives/slicem/di64_stub.sim.v

Verilog File

// Simple passthrough box to for DI mux selection on dual port DRAMs.
(* lib_whitebox *)
module DI64_STUB(
    input DI,
    output DO
);
  (* DELAY_CONST_DI="0" *)
  wire DO;

  assign DO = DI;
endmodule