nreg =============================================================================== Component Diagram ----------------- .. symbolator:: ../../../../../xc/common/primitives/dsp48e1/nreg/nreg.sim.v Internal Diagram ---------------- .. verilog-diagram:: ../../../../../xc/common/primitives/dsp48e1/nreg/nreg.sim.v :type: netlistsvg :module: NREG Verilog File ------------ .. literalinclude:: ../../../../../xc/common/primitives/dsp48e1/nreg/nreg.sim.v :language: verilog